aboutsummaryrefslogtreecommitdiffstats
path: root/dot_product/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/db/ise_proj.map.qmsg
blob: d51af7ecf7cbbf40087e84c357fbc8de4b632ac6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1456848306525 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" {  } {  } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1456848306526 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 01 16:05:06 2016 " "Processing started: Tue Mar 01 16:05:06 2016" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1456848306526 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1456848306526 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off ise_proj -c ise_proj " "Command: quartus_map --read_settings_files=on --write_settings_files=off ise_proj -c ise_proj" {  } {  } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1456848306526 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "4 4 8 " "Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead." {  } {  } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1456848306795 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/catapult c/dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v 7 7 " "Found 7 design units, including 7 entities, in source file /catapult c/dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" { { "Info" "ISGN_ENTITY_NAME" "1 mgc_out_reg_pos " "Found entity 1: mgc_out_reg_pos" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" 3 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306834 ""} { "Info" "ISGN_ENTITY_NAME" "2 mgc_out_reg_neg " "Found entity 2: mgc_out_reg_neg" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" 68 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306834 ""} { "Info" "ISGN_ENTITY_NAME" "3 mgc_out_reg " "Found entity 3: mgc_out_reg" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" 133 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306834 ""} { "Info" "ISGN_ENTITY_NAME" "4 mgc_out_buf_wait " "Found entity 4: mgc_out_buf_wait" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" 210 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306834 ""} { "Info" "ISGN_ENTITY_NAME" "5 mgc_out_fifo_wait " "Found entity 5: mgc_out_fifo_wait" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" 296 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306834 ""} { "Info" "ISGN_ENTITY_NAME" "6 mgc_out_fifo_wait_core " "Found entity 6: mgc_out_fifo_wait_core" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" 353 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306834 ""} { "Info" "ISGN_ENTITY_NAME" "7 mgc_pipe " "Found entity 7: mgc_pipe" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport_v2001 (2).v" 644 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306834 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1456848306834 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/catapult c/dot_product/dot_product/rtl_mgc_ioport (2).v 20 20 " "Found 20 design units, including 20 entities, in source file /catapult c/dot_product/dot_product/rtl_mgc_ioport (2).v" { { "Info" "ISGN_ENTITY_NAME" "1 mgc_in_wire " "Found entity 1: mgc_in_wire" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 13 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "2 mgc_in_wire_en " "Found entity 2: mgc_in_wire_en" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 29 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "3 mgc_in_wire_wait " "Found entity 3: mgc_in_wire_wait" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 49 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "4 mgc_chan_in " "Found entity 4: mgc_chan_in" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 72 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "5 mgc_out_stdreg " "Found entity 5: mgc_out_stdreg" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 109 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "6 mgc_out_stdreg_en " "Found entity 6: mgc_out_stdreg_en" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 125 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "7 mgc_out_stdreg_wait " "Found entity 7: mgc_out_stdreg_wait" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 145 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "8 mgc_out_prereg_en " "Found entity 8: mgc_out_prereg_en" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 169 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "9 mgc_inout_stdreg_en " "Found entity 9: mgc_inout_stdreg_en" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 191 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "10 hid_tribuf " "Found entity 10: hid_tribuf" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 217 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "11 mgc_inout_stdreg_wait " "Found entity 11: mgc_inout_stdreg_wait" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 229 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "12 mgc_inout_buf_wait " "Found entity 12: mgc_inout_buf_wait" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 269 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "13 mgc_inout_fifo_wait " "Found entity 13: mgc_inout_fifo_wait" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 339 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "14 mgc_io_sync " "Found entity 14: mgc_io_sync" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 419 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "15 mgc_bsync_rdy " "Found entity 15: mgc_bsync_rdy" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 428 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "16 mgc_bsync_vld " "Found entity 16: mgc_bsync_vld" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 443 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "17 mgc_bsync_rv " "Found entity 17: mgc_bsync_rv" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 458 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "18 mgc_sync " "Found entity 18: mgc_sync" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 479 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "19 funccall_inout " "Found entity 19: funccall_inout" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 498 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""} { "Info" "ISGN_ENTITY_NAME" "20 modulario_en_in " "Found entity 20: modulario_en_in" {  } { { "../../../../dot_product/dot_product/rtl_mgc_ioport (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport (2).v" 526 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1456848306838 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/catapult c/dot_product/dot_product/rtl (2).v 2 2 " "Found 2 design units, including 2 entities, in source file /catapult c/dot_product/dot_product/rtl (2).v" { { "Info" "ISGN_ENTITY_NAME" "1 dot_product_core " "Found entity 1: dot_product_core" {  } { { "../../../../dot_product/dot_product/rtl (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl (2).v" 16 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306840 ""} { "Info" "ISGN_ENTITY_NAME" "2 dot_product " "Found entity 2: dot_product" {  } { { "../../../../dot_product/dot_product/rtl (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl (2).v" 119 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306840 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1456848306840 ""}
{ "Warning" "WSGN_SEARCH_FILE" "ise_proj.bdf 1 1 " "Using design file ise_proj.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 ise_proj " "Found entity 1: ise_proj" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { } } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848306872 ""}  } {  } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1456848306872 ""}
{ "Info" "ISGN_START_ELABORATION_TOP" "ise_proj " "Elaborating entity \"ise_proj\" for the top level hierarchy" {  } {  } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1456848306873 ""}
{ "Warning" "WGDFX_NO_SUPERSET_FOUND" "" "No superset bus at connection" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 96 744 811 112 "A\[7..4\]" "" } { 112 744 817 128 "B\[7..4\]" "" } { 128 744 837 144 "LEDG\[9..8\]" "" } { 112 744 744 128 "" "" } { 128 744 744 144 "" "" } { 144 744 744 168 "" "" } } } }  } 0 275002 "No superset bus at connection" 0 0 "Quartus II" 0 -1 1456848306874 ""}
{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_CLK " "Pin \"VGA_CLK\" is missing source" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 680 32 208 696 "VGA_CLK" "" } } } }  } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848306874 ""}
{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_SYNC " "Pin \"VGA_SYNC\" is missing source" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 656 32 208 672 "VGA_SYNC" "" } } } }  } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848306874 ""}
{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_BLANK " "Pin \"VGA_BLANK\" is missing source" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 632 32 208 648 "VGA_BLANK" "" } } } }  } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848306874 ""}
{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_VS " "Pin \"VGA_VS\" is missing source" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 608 32 208 624 "VGA_VS" "" } } } }  } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848306875 ""}
{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_HS " "Pin \"VGA_HS\" is missing source" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 584 32 208 600 "VGA_HS" "" } } } }  } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848306875 ""}
{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "HEX0_D\[6..0\] " "Pin \"HEX0_D\[6..0\]\" is missing source" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } }  } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848306875 ""}
{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_B\[3..0\] " "Pin \"VGA_B\[3..0\]\" is missing source" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 560 32 208 576 "VGA_B\[3..0\]" "" } } } }  } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848306875 ""}
{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_G\[3..0\] " "Pin \"VGA_G\[3..0\]\" is missing source" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 536 32 208 552 "VGA_G\[3..0\]" "" } } } }  } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848306875 ""}
{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_R\[3..0\] " "Pin \"VGA_R\[3..0\]\" is missing source" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 504 32 208 520 "VGA_R\[3..0\]" "" } } } }  } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848306875 ""}
{ "Warning" "WGDFX_PIN_IGNORED" "PS2_MSDAT " "Pin \"PS2_MSDAT\" not connected" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 416 40 208 432 "PS2_MSDAT" "" } } } }  } 0 275009 "Pin \"%1!s!\" not connected" 0 0 "Quartus II" 0 -1 1456848306875 ""}
{ "Warning" "WGDFX_PIN_IGNORED" "PS2_MSCLK " "Pin \"PS2_MSCLK\" not connected" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 440 40 208 456 "PS2_MSCLK" "" } } } }  } 0 275009 "Pin \"%1!s!\" not connected" 0 0 "Quartus II" 0 -1 1456848306875 ""}
{ "Warning" "WGDFX_PIN_IGNORED" "CLOCK_50 " "Pin \"CLOCK_50\" not connected" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 88 40 208 104 "CLOCK_50" "" } } } }  } 0 275009 "Pin \"%1!s!\" not connected" 0 0 "Quartus II" 0 -1 1456848306875 ""}
{ "Warning" "WGDFX_PIN_IGNORED" "CLOCK_50_2 " "Pin \"CLOCK_50_2\" not connected" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 56 40 208 72 "CLOCK_50_2" "" } } } }  } 0 275009 "Pin \"%1!s!\" not connected" 0 0 "Quartus II" 0 -1 1456848306875 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dot_product dot_product:inst " "Elaborating entity \"dot_product\" for hierarchy \"dot_product:inst\"" {  } { { "ise_proj.bdf" "inst" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 152 304 552 296 "inst" "" } } } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456848306876 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mgc_in_wire dot_product:inst\|mgc_in_wire:input_a_rsc_mgc_in_wire " "Elaborating entity \"mgc_in_wire\" for hierarchy \"dot_product:inst\|mgc_in_wire:input_a_rsc_mgc_in_wire\"" {  } { { "../../../../dot_product/dot_product/rtl (2).v" "input_a_rsc_mgc_in_wire" { Text "C:/Catapult C/dot_product/dot_product/rtl (2).v" 141 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456848306879 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mgc_in_wire dot_product:inst\|mgc_in_wire:input_b_rsc_mgc_in_wire " "Elaborating entity \"mgc_in_wire\" for hierarchy \"dot_product:inst\|mgc_in_wire:input_b_rsc_mgc_in_wire\"" {  } { { "../../../../dot_product/dot_product/rtl (2).v" "input_b_rsc_mgc_in_wire" { Text "C:/Catapult C/dot_product/dot_product/rtl (2).v" 146 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456848306881 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mgc_out_stdreg dot_product:inst\|mgc_out_stdreg:output_rsc_mgc_out_stdreg " "Elaborating entity \"mgc_out_stdreg\" for hierarchy \"dot_product:inst\|mgc_out_stdreg:output_rsc_mgc_out_stdreg\"" {  } { { "../../../../dot_product/dot_product/rtl (2).v" "output_rsc_mgc_out_stdreg" { Text "C:/Catapult C/dot_product/dot_product/rtl (2).v" 151 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456848306882 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dot_product_core dot_product:inst\|dot_product_core:dot_product_core_inst " "Elaborating entity \"dot_product_core\" for hierarchy \"dot_product:inst\|dot_product_core:dot_product_core_inst\"" {  } { { "../../../../dot_product/dot_product/rtl (2).v" "dot_product_core_inst" { Text "C:/Catapult C/dot_product/dot_product/rtl (2).v" 159 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456848306884 ""}
{ "Info" "ILPMS_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "ILPMS_LPM_MULT_INFERRED" "dot_product:inst\|dot_product_core:dot_product_core_inst\|Mult0 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"dot_product:inst\|dot_product_core:dot_product_core_inst\|Mult0\"" {  } { { "../../../../dot_product/dot_product/rtl (2).v" "Mult0" { Text "C:/Catapult C/dot_product/dot_product/rtl (2).v" 42 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848307081 ""}  } {  } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1456848307081 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "dot_product:inst\|dot_product_core:dot_product_core_inst\|lpm_mult:Mult0 " "Elaborated megafunction instantiation \"dot_product:inst\|dot_product_core:dot_product_core_inst\|lpm_mult:Mult0\"" {  } { { "../../../../dot_product/dot_product/rtl (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl (2).v" 42 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848307122 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "dot_product:inst\|dot_product_core:dot_product_core_inst\|lpm_mult:Mult0 " "Instantiated megafunction \"dot_product:inst\|dot_product_core:dot_product_core_inst\|lpm_mult:Mult0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 4 " "Parameter \"LPM_WIDTHA\" = \"4\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456848307122 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 4 " "Parameter \"LPM_WIDTHB\" = \"4\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456848307122 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 8 " "Parameter \"LPM_WIDTHP\" = \"8\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456848307122 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 8 " "Parameter \"LPM_WIDTHR\" = \"8\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456848307122 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456848307122 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456848307122 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT NO " "Parameter \"INPUT_A_IS_CONSTANT\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456848307122 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456848307122 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456848307122 ""}  } { { "../../../../dot_product/dot_product/rtl (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl (2).v" 42 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1456848307122 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_a7t.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_a7t.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_a7t " "Found entity 1: mult_a7t" {  } { { "db/mult_a7t.tdf" "" { Text "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/db/mult_a7t.tdf" 28 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848307172 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1456848307172 ""}
{ "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_HDR" "" "Synthesized away the following node(s):" { { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_SUB_HDR" "LCELL buffer " "Synthesized away the following LCELL buffer node(s):" { { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "dot_product:inst\|dot_product_core:dot_product_core_inst\|lpm_mult:Mult0\|mult_a7t:auto_generated\|le5a\[4\] " "Synthesized away node \"dot_product:inst\|dot_product_core:dot_product_core_inst\|lpm_mult:Mult0\|mult_a7t:auto_generated\|le5a\[4\]\"" {  } { { "db/mult_a7t.tdf" "" { Text "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/db/mult_a7t.tdf" 42 6 0 } } { "lpm_mult.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_mult.tdf" 375 4 0 } } { "../../../../dot_product/dot_product/rtl (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl (2).v" 42 -1 0 } } { "../../../../dot_product/dot_product/rtl (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl (2).v" 159 0 0 } } { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 152 304 552 296 "inst" "" } } } }  } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848307191 "|ise_proj|dot_product:inst|dot_product_core:dot_product_core_inst|lpm_mult:Mult0|mult_a7t:auto_generated|le5a[4]"}  } {  } 0 14285 "Synthesized away the following %1!s! node(s):" 0 0 "Quartus II" 0 -1 1456848307191 ""}  } {  } 0 14284 "Synthesized away the following node(s):" 0 0 "Quartus II" 0 -1 1456848307191 ""}
{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "48 " "Ignored 48 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_CARRY_SUM" "4 " "Ignored 4 CARRY_SUM buffer(s)" {  } {  } 0 13016 "Ignored %1!d! CARRY_SUM buffer(s)" 0 0 "Quartus II" 0 -1 1456848307320 ""} { "Info" "IMLS_MLS_IGNORED_SOFT" "44 " "Ignored 44 SOFT buffer(s)" {  } {  } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1456848307320 ""}  } {  } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1456848307320 ""}
{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" {  } { { "../../../../dot_product/dot_product/rtl (2).v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl (2).v" 29 -1 0 } }  } 0 13000 "Registers with preset signals will power-up high" 0 0 "Quartus II" 0 -1 1456848307324 ""}
{ "Info" "IMLS_MLS_DEV_CLRN_SETS_REGISTERS" "" "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" {  } {  } 0 13003 "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" 0 0 "Quartus II" 0 -1 1456848307324 ""}
{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_CLK GND " "Pin \"VGA_CLK\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 680 32 208 696 "VGA_CLK" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_CLK"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_SYNC GND " "Pin \"VGA_SYNC\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 656 32 208 672 "VGA_SYNC" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_SYNC"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_BLANK GND " "Pin \"VGA_BLANK\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 632 32 208 648 "VGA_BLANK" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_BLANK"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_VS GND " "Pin \"VGA_VS\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 608 32 208 624 "VGA_VS" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_VS"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_HS GND " "Pin \"VGA_HS\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 584 32 208 600 "VGA_HS" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_HS"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX0_D\[6\] GND " "Pin \"HEX0_D\[6\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|HEX0_D[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX0_D\[5\] GND " "Pin \"HEX0_D\[5\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|HEX0_D[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX0_D\[4\] GND " "Pin \"HEX0_D\[4\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|HEX0_D[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX0_D\[3\] GND " "Pin \"HEX0_D\[3\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|HEX0_D[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX0_D\[2\] GND " "Pin \"HEX0_D\[2\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|HEX0_D[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX0_D\[1\] GND " "Pin \"HEX0_D\[1\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|HEX0_D[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX0_D\[0\] GND " "Pin \"HEX0_D\[0\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|HEX0_D[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDG\[9\] GND " "Pin \"LEDG\[9\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 312 32 208 328 "LEDG\[9..0\]" "" } { 128 744 837 144 "LEDG\[9..8\]" "" } { 304 216 289 320 "LEDG\[9..0\]" "" } { 168 552 615 184 "LEDG\[7..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|LEDG[9]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDG\[8\] GND " "Pin \"LEDG\[8\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 312 32 208 328 "LEDG\[9..0\]" "" } { 128 744 837 144 "LEDG\[9..8\]" "" } { 304 216 289 320 "LEDG\[9..0\]" "" } { 168 552 615 184 "LEDG\[7..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|LEDG[8]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_B\[3\] GND " "Pin \"VGA_B\[3\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 560 32 208 576 "VGA_B\[3..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_B[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_B\[2\] GND " "Pin \"VGA_B\[2\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 560 32 208 576 "VGA_B\[3..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_B[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_B\[1\] GND " "Pin \"VGA_B\[1\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 560 32 208 576 "VGA_B\[3..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_B[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_B\[0\] GND " "Pin \"VGA_B\[0\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 560 32 208 576 "VGA_B\[3..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_B[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_G\[3\] GND " "Pin \"VGA_G\[3\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 536 32 208 552 "VGA_G\[3..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_G[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_G\[2\] GND " "Pin \"VGA_G\[2\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 536 32 208 552 "VGA_G\[3..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_G[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_G\[1\] GND " "Pin \"VGA_G\[1\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 536 32 208 552 "VGA_G\[3..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_G[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_G\[0\] GND " "Pin \"VGA_G\[0\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 536 32 208 552 "VGA_G\[3..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_G[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_R\[3\] GND " "Pin \"VGA_R\[3\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 504 32 208 520 "VGA_R\[3..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_R[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_R\[2\] GND " "Pin \"VGA_R\[2\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 504 32 208 520 "VGA_R\[3..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_R[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_R\[1\] GND " "Pin \"VGA_R\[1\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 504 32 208 520 "VGA_R\[3..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_R[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_R\[0\] GND " "Pin \"VGA_R\[0\]\" is stuck at GND" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 504 32 208 520 "VGA_R\[3..0\]" "" } } } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848307343 "|ise_proj|VGA_R[0]"}  } {  } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1456848307343 ""}
{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" {  } {  } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Quartus II" 0 -1 1456848307438 ""}
{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "DE0_TOP " "Ignored assignments for entity \"DE0_TOP\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name LL_ROOT_REGION ON -entity DE0_TOP -section_id \"Root Region\" " "Assignment for entity set_global_assignment -name LL_ROOT_REGION ON -entity DE0_TOP -section_id \"Root Region\" was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name LL_MEMBER_STATE LOCKED -entity DE0_TOP -section_id \"Root Region\" " "Assignment for entity set_global_assignment -name LL_MEMBER_STATE LOCKED -entity DE0_TOP -section_id \"Root Region\" was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity DE0_TOP -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 14622752 -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 14622752 -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity DE0_TOP -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307545 ""}  } {  } 0 20013 "Ignored assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Quartus II" 0 -1 1456848307545 ""}
{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "DE0_VGA " "Ignored assignments for entity \"DE0_VGA\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name LL_ROOT_REGION ON -entity DE0_VGA -section_id \"Root Region\" " "Assignment for entity set_global_assignment -name LL_ROOT_REGION ON -entity DE0_VGA -section_id \"Root Region\" was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name LL_MEMBER_STATE LOCKED -entity DE0_VGA -section_id \"Root Region\" " "Assignment for entity set_global_assignment -name LL_MEMBER_STATE LOCKED -entity DE0_VGA -section_id \"Root Region\" was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity DE0_VGA -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 14622752 -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 14622752 -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity DE0_VGA -section_id Top was ignored" {  } {  } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848307546 ""}  } {  } 0 20013 "Ignored assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Quartus II" 0 -1 1456848307546 ""}
{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" {  } {  } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1456848307681 ""}  } {  } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848307681 ""}
{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "6 " "Design contains 6 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "BUTTON\[1\] " "No output dependent on input pin \"BUTTON\[1\]\"" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 120 40 208 136 "BUTTON" "" } } } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848307712 "|ise_proj|BUTTON[1]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "BUTTON\[0\] " "No output dependent on input pin \"BUTTON\[0\]\"" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 120 40 208 136 "BUTTON" "" } } } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848307712 "|ise_proj|BUTTON[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PS2_MSDAT " "No output dependent on input pin \"PS2_MSDAT\"" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 416 40 208 432 "PS2_MSDAT" "" } } } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848307712 "|ise_proj|PS2_MSDAT"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PS2_MSCLK " "No output dependent on input pin \"PS2_MSCLK\"" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 440 40 208 456 "PS2_MSCLK" "" } } } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848307712 "|ise_proj|PS2_MSCLK"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "CLOCK_50 " "No output dependent on input pin \"CLOCK_50\"" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 88 40 208 104 "CLOCK_50" "" } } } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848307712 "|ise_proj|CLOCK_50"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "CLOCK_50_2 " "No output dependent on input pin \"CLOCK_50_2\"" {  } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015\[2\]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 56 40 208 72 "CLOCK_50_2" "" } } } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848307712 "|ise_proj|CLOCK_50_2"}  } {  } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1456848307712 ""}
{ "Info" "ICUT_CUT_TM_SUMMARY" "118 " "Implemented 118 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "17 " "Implemented 17 input pins" {  } {  } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1456848307713 ""} { "Info" "ICUT_CUT_TM_OPINS" "34 " "Implemented 34 output pins" {  } {  } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1456848307713 ""} { "Info" "ICUT_CUT_TM_LCELLS" "67 " "Implemented 67 logic cells" {  } {  } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1456848307713 ""}  } {  } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1456848307713 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 102 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 102 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "465 " "Peak virtual memory: 465 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1456848307729 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 01 16:05:07 2016 " "Processing ended: Tue Mar 01 16:05:07 2016" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1456848307729 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1456848307729 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1456848307729 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1456848307729 ""}